vme_ivec_set man page on IRIX

Man page or keyword search:  
man Server   31559 pages
apropos Keyword Search (all sections)
Output format
IRIX logo
[printable version]



vme_ivec_set(D3X)					     vme_ivec_set(D3X)

NAME
     vme_ivec_set - register a VME bus interrupt handler

SYNOPSIS
     #include <sys/types.h>
     #include <sys/ddi.h>

     int vme_ivec_set (int adapter, int vec, int (*intr)(int), int arg);

   Arguments
     adapter
	  The adapter number identifying which VME bus on the system.

     vec  The vector allocated from vme_ivec_alloc(D3X).

     intr A pointer to the driver's interrupt handler.

     arg  A value to be passed into the interrupt handler when the interrupt
	  occurs.

DESCRIPTION
     On Challenge and Onyx systems, vme_ivec_set registers the interrupt
     handler to the specified VME bus interrupt table. With
     vme_ivec_alloc(D3X), a driver can allocate and register more than one VME
     interrupt vector for a single board. vme_ivec_alloc(D3X) and
     vme_ivec_set(D3X) are used in edtinit() routines.

   Return Values
     vme_ivec_set returns -1 if no vectors remain or the adapter specified is
     invalid.

   See Also
     vme_ivec_alloc(D3X), vme_ivec_free(D3X)
     vmeio(D3) for Origin and Onyx2 VME options

									Page 1

[top]

List of man pages available for IRIX

Copyright (c) for man pages and the logo by the respective OS vendor.

For those who want to learn more, the polarhome community provides shell access and support.

[legal] [privacy] [GNU] [policy] [cookies] [netiquette] [sponsors] [FAQ]
Tweet
Polarhome, production since 1999.
Member of Polarhome portal.
Based on Fawad Halim's script.
....................................................................
Vote for polarhome
Free Shell Accounts :: the biggest list on the net